CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIR Verilog

搜索资源列表

  1. fir

    0下载:
  2. Verilog 程序, 实现4阶 fir-filter滤波器。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1740
    • 提供者:左麟
  1. FIRde-verilog-shixian

    0下载:
  2. 有符号DA算法的FIR滤波器的Verilog实现-A symbol of the algorithm of DA FIR filters Verilog realized
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4035
    • 提供者:紫微
  1. fir_lms

    0下载:
  2. 一个不错的关于lms算法的verilog代码,算然只有两级,但是对了解lms用HDL描述有很好的理解作用。希望对大家有用~-A good lms algorithm on the verilog code, development environment, I can not find, even if the vhdl it! We hope to be useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1334
    • 提供者:onion
  1. LMS_filter

    2下载:
  2. verilog HDL 写的LMS滤波器-LMS filter using verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:350313
    • 提供者:rayax
  1. ourdev_573514

    0下载:
  2. 高通滤波器的verilog实现,对初学者设计FIR有好处,分布式算法-Verilog implementation of high-pass filter, FIR design is good for beginners, distributed algorithm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:306143
    • 提供者:吴锦干
  1. fir_lms

    3下载:
  2. 基于FPGA的自适应滤波器的实现。采用Verilog编程,2阶滤波器。-FPGA-based realization of the adaptive filter. Using Verilog programming, 2-order filter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:11944
    • 提供者:田文军
  1. fir_parall

    0下载:
  2. 基于verilog的fir滤波器设计,用的并行结构。在前面基础上加入四级流水(加法器,并行乘法器,乘法结果相加两级),通过验证。-Verilog-based design of fir filter using the parallel architecture. In front of the basis of adding four water (adder, parallel multiplier, multiply the result of the sum of two), throu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3333
    • 提供者:张堃
  1. Linux_bc

    1下载:
  2. 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xili
  3. 所属分类:Linux-Unix program

    • 发布日期:2015-03-19
    • 文件大小:18683907
    • 提供者:liuzhou
  1. fir

    0下载:
  2. 用verilog实现fir滤波器,实现了一个8阶的fir滤波器-design the fir filter use verilog lanuage
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:802
    • 提供者:叶敏
  1. fir

    0下载:
  2. 利用Verilog语言编写的FPGA作为数字fir滤波器的程序,在编译器中调试通过,可以作为模块调用。-the model of fir digital cr which is written of verilog language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:792
    • 提供者:saul
  1. fir_liujiao

    0下载:
  2. 利用verilog语言设计实现8路FIR滤波-Using verilog Language Design and Implementation of 8-channel FIR filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:96145
    • 提供者:juan
  1. verilogFIR

    0下载:
  2. 基于verilog的FIR滤波器程序设计(调试过的)-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:638653
    • 提供者:柳澈
  1. dspddc_R12p1

    1下载:
  2. 基于DSPbuilder搭建的DDC,里面包括CIC滤波器,FIR低通滤波器,HB半带滤波器,NCO等,实现了GC5016芯片的功能-DSPbuilder erected based on DDC, which include the CIC filter, FIR low-pass filter, HB half-band filter, NCO, etc. to achieve the function of the GC5016 chip
  3. 所属分类:DSP program

    • 发布日期:2014-10-26
    • 文件大小:17176
    • 提供者:郑程
  1. fir

    0下载:
  2. fir 滤波器 Systems generator 实现并转化为verilog语言-fir Filter Systems generator to achieve and into verilog language
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-12
    • 文件大小:2671982
    • 提供者:lynn
  1. 11FIRfliter

    0下载:
  2. 11阶FIR滤波器和(7,4)编码器的Verilog语言,高手的作品,放心下-11-order FIR filter, and (7,4) encoder of the Verilog language, master' s works, rest assured that the next
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1718
    • 提供者:王刚
  1. 数字信号处理的FPGA实现-第三版-verilog源程序

    1下载:
  2. 数字信号处理的FPGA实现, 包括了FPGA基础知识,浮点运算,信号处理的FIR FFT等,附录包含源代码(Digital signal processing FPGA implementation, including the basic knowledge of FPGA, floating point operations, signal processing FIR, FFT, etc., the appendix contains the source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:4568279
    • 提供者:btty
  1. 基于FPGA和IP核的FIR低通滤波器

    1下载:
  2. 用verilog语言实现数字电路低通滤波器(Implementation of digital circuit low-pass filter using Verilog language)
  3. 所属分类:其他

    • 发布日期:2017-12-29
    • 文件大小:39936
    • 提供者:曾今的1994
  1. FIR

    0下载:
  2. FIR filter in verilog for xilinx ise design suit
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-02
    • 文件大小:190464
    • 提供者:addy007
  1. Verilog的135个经典设计实例

    1下载:
  2. Verilog的135个经典设计实例,部分摘录如下:【例 9.23】可变模加法/减法计数器【例 11.7】自动售饮料机【例 11.6】“梁祝”乐曲演奏电路【例 11.5】交通灯控制器【例 11.2】4 位数字频率计控制模块【例 11.1】数字跑表【例 9.26】256×16 RAM 块【例 9.27】4 位串并转换器【例 11.8】多功能数字钟【例 11.9】电话计费器程序【例 12.13】CRC 编码【例 12.12】(7,4)循环码纠错译码器【例 12.10】(7,4)线性分组码译码器【例
  3. 所属分类:VHDL/FPGA/Verilog

  1. fir滤波器

    1下载:
  2. FIR滤波器,verilog编写,可以正常使用(FIR filter, written by verilog, can be used normally, very good)
  3. 所属分类:硬件设计

    • 发布日期:2020-12-05
    • 文件大小:1031168
    • 提供者:happytian
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com